ModelSim XE を使った SystemVerilog DPI-C テスト のバックアップ(No.1)

更新


公開メモ

Verilog HDL のテストに C++ コードを用いたい

最近、Verilog を使って FPGA 内部の回路設計をしています。

開発環境としては Xilinx の ISE WebPack で Verilog コードを書き、
ModelSim XE (Xilinx Edition) Free でテストベンチを動かしています。

ISE は Verilog 2001 までしか対応していませんが、
ModelSim は SystemVerilog を使うことができ、
また、DPI-C という機能を使って C や C++ のコードを使った
テストを行えるそうなのですが、Web上にはあまり詳しい解説が
多くないため、調べてみました。

情報源

基本


Counter: 31768 (from 2010/06/03), today: 1, yesterday: 0