ModelSim XE を使った SystemVerilog DPI-C テスト のバックアップ差分(No.1)

更新


  • 追加された行はこの色です。
  • 削除された行はこの色です。
[[公開メモ]]

* Verilog HDL のテストに C++ コードを用いたい [#g097fe11]

最近、Verilog を使って FPGA 内部の回路設計をしています。

開発環境としては Xilinx の ISE WebPack で Verilog コードを書き、~
ModelSim XE (Xilinx Edition) Free でテストベンチを動かしています。

ISE は Verilog 2001 までしか対応していませんが、~
ModelSim は SystemVerilog を使うことができ、~
また、DPI-C という機能を使って C や C++ のコードを使った~
テストを行えるそうなのですが、Web上にはあまり詳しい解説が~
多くないため、調べてみました。

* 情報源 [#kcc95be3]

- All of SystemVerilog - SystemVerilogの世界へようこそ‎ > ‎SystemVerilog DPI-C ~
http://sites.google.com/site/allofsystemverilog/Home/dpi-c
- Tech Village - 無償ツールで実践する「ハード・ソフト協調検証」(1) —— SystemVerilogのDPI-C機能~
http://www.kumikomi.net/archives/2009/12/_1systemverilogdpi-c.php
- FPGAの部屋 - 無償ツールで実践する「ハード・ソフト協調検証」をやってみる
http://marsee101.blog19.fc2.com/blog-entry-1389.html
- ModelSim の [Help] から User's Manual の Appendix D - Verilog Interface to C
- C:\Modeltech_xe_starter\examples\systemverilog\dpi にあるサンプル
- HDL Simulator Veritak - SystemVerilog Tutorial~
http://japanese.sugawara-systems.com/systemverilog/SystemVerilog_Simulator.htm

* 基本 [#c93e2b15]


Counter: 31969 (from 2010/06/03), today: 6, yesterday: 0