Xilinx Memory Interface Generator (MIG) による DDR2 SDRAM のアクセス のバックアップ(No.13)

更新


公開メモ

概要

以下の内容はまだ試行錯誤中のもので、間違っている可能性が多分にあります。

紛らわしい記事ですみません。

一応、Xilinx FPGA から DDR や DDR2、DDR3 といった高速メモリにアクセスすることを目的に、

  1. Memory Interface Generator (MIG) というソフトを使ってIPコアを生成する方法
  2. 生成したIPコア経由で Spartan 3A DSP から DDR2 メモリにアクセスする方法

をまとめる予定です。

詳細としては、

Core name: Xilinx MIG
Version: 3.3
Release Date: December 2, 2009

を使って、

Spartan 3A DSP 1800 Starter Platform

用のコアを作成し、その使い方について調べたものです。

入出力ポートを指定してコアを生成する

まずは MIG を使ってIPコアを生成する方法についてなのですが、 一見簡単そうに見えて、思ったよりも難しかったです。

というか、まだうまく行ってるのかどうか自信が持てません。

今回は Xilinx の Starter Platform を購入したので、 それ用のコアを生成したかったのですが、 MIG で簡単にできるのは、次の2つの使い方で、

  1. Xilinx の Reference Board 用にコアを作る
  2. これから PCB を起こす段階で、FPGA のどのピンをメモリのどのピンと結線したらよいか MIG に決めてもらう

PCBがすでにできていて、FPGA とメモリが MIG が想定するのと 異なるピン配置で接続されている場合に使えるコアを生成する方法を なかなか見つけることができませんでした。

いろいろ調べたあげく、何とか方向性が見えてきたので、 以下に進捗をまとめていこうと思います。

情報源

基本は MIG の User Guid (MIG を起動した画面の左下のボタン : ug086) の

  • Chapter 1 : Using MIG
    • MIG User Interface
      • MIG Output Options
        Verify UCF and Update Design and UCF

に沿っていきます。

MIG の2ページ目で [Create Design] を指定する

MIG を起動した際の一番目の選択肢です。

mig2.png

最終的には Verify UCF and Update Design and UCF を使うのですが、 始めは .ucf に指定するための信号名が分からないので、 ここでは一旦 [Create Design] を選びます。

メモリの仕様に合わせてオプションを選択する

Spartan 3A DSP 1800 Starter Platform 用に次のように指定しました。

自信のないところもあるのですが、、、

うまく行かなかったらまた考えます。

Memory SelectionDDR2 SDRAM
Frequency8000 ps = 125MHz
Write Pipe Stages4
Memory TypeComponents
Memory PartMT47H32M16-3
Data Width32
Data MaskUncheck
Burst Length4
Burst Typesequential
Output Drive StrengthFullstrength
RTT(nominal)-ODT75ohms ?
DQS# EnableEnable
Use DCMUncheck
Class for Address and ControlClass II
Class for DataClass II
Debug Signals for Memory ControllerDisable
  • (2010/06/01追記) DQS# Enable は Disable にしないと MAP でこけることが分かりました。
  • (2010/06/02追記) こけてたのは別の問題かもしれません ちゃんと ucf を反映させられる条件でもう一度試してみて結論を出そうと思います

Available/Reserved ピンは指定しない

つぎにピン配置を決めるのですが・・・

[Create Design] モードというのは、新たにPCBを起こす際に MIG にお勧めの結線方法を提案してもらうというモードなので、 このモードではどんなに頑張っても1つ1つのピン配置を こちらから指定することはできません。

メモリとの接続に使ってもよいピンを MIG に教えると、 MIG がピン配置を勝手に決め、その配置でコアを生成するという 話になっています。

デフォルトでは全てのピンが Available Pins に入っていて、 MIG はその中から適当なピンを選んでコアを生成します。

もちろんそれでは困るのですが、 どうしようもないので後で直すことにして、 ここではそのままにしておきます。

ちなみに、このページの [Read UCF File] は興味を引くボタンなのですが、、、

他の用途に使っているためにメモリとの配線に使えないピンを Reserved Pins として登録するためのもので、メモリとの配線方法を指定するためのものでは無いので、 残念ながら押しても役に立ちません。

Bank は(念のため)本来のピン配置と同じものを選んでおく

MIG は上述の Available Pins から適当なピンを選んで信号線を割り当てますが、 このページでは信号線の種類毎に、使う Bank を指定することができます。

mig4.png

目的のボードに合わせてバンクを選択すればよいのだと思います。

コアを生成する

どんどんページをめくって、最後にライセンスを承諾するとコアが生成されます。

ピンを指定するための ucf ファイルを作る

ここからいよいよピン配置を指定する段階です。

私の場合 ddr2mi という名前でコアを作ったので、 できたコアは以下のディレクトリにありました。

(project folder)/ipcore_dir/ddr2mi/user_design

このフォルダの par/ddr2mi.ucf に、MIG が適当に決定したピン配置と、 そのピン配置に最適化された回路を生成するための ucf ファイルが作成されています。

このファイルから #bank というコメントが付いている行を抜き出すと、 ピン配置を指定している行だけを抽出できます。

unix ライクなコンソールが使えれば、

LANG:console
$ grep -i "#bank" ipcore_dir/ddr2mi/user_design/par/ddr2mi.ucf | sort > ddr2mi_pins.ucf

とすることで、

ddr2mi_pins.ucf

NET "cntrl0_ddr2_a[0]" LOC = "J21" ;     #bank 1
NET "cntrl0_ddr2_a[10]" LOC = "B2" ;     #bank 3
NET "cntrl0_ddr2_a[11]" LOC = "H7" ;     #bank 3
NET "cntrl0_ddr2_a[12]" LOC = "G6" ;     #bank 3
...

NET "cntrl0_ddr2_dqs_n[6]" LOC = "J4";     #bank 3
NET "cntrl0_ddr2_dqs_n[7]" LOC = "J6";     #bank 3
NET "cntrl0_rst_dqs_div_in" LOC = "G4";     #bank 3
NET "cntrl0_rst_dqs_div_out" LOC = "F5";     #bank 3

のようなファイルができます。

これを自分のボードに合わせて編集し、正しいピンを指定します。

ucf ファイルを元にコアのピン配置を変更する

上記の手順でピン配置だけを変更しても、FPGA 内部のプリミティブ配置は MIG が最初に提案したピン配置に最適化されているのでそのままでは動きません。

そこで、もう一度 MIG を起動してコアを作り直します。

Design ペインの Hierarchy で先ほど生成した ddr2mi をダブルクリックして MIG を起動します。

mig6.png

今度は [Verify UCF and Update Design and UCF] を選択し、
Load Prj File に ipcore_dir/ddr2mi/user_design/mig.prj
Load UCF File に ddr2mi_pins.ucf (ピンを指定するための自分で作ったファイル)
を指定します。

Next を連打して、もう一度ライセンス条項を許諾すると、 指定したピン配置でコアを生成できます。

正しいピン配置になっているかどうか確認する

LANG:console
$ grep -i "#bank" ipcore_dir/ddr2mi/user_design/par/ddr2mi.ucf | sort > ddr2mi_pins.ucf

としてみると、指定した通りのピン配置でコアが生成されていると思います。

オリジナルの構成と比べてみる

LANG:console
$ grep -i "#bank" ddr2mi_original.ucf | sed -e "s/#bank.*//i" -e "s/\r//" | sort > original-pins.txt
$ grep -i "#bank" ddr2mi.ucf | sed -e "s/#bank.*//i" -e "s/\r//" | sort > custom-pins.txt
$ paste *pins.txt > compare.txt

として、形式を整えたものが次の表です。

ピン名称カスタムオリジナル
cntrl0_ddr2_a[0]J5M4
cntrl0_ddr2_a[1]M9M6
cntrl0_ddr2_a[2]M10M5
cntrl0_ddr2_a[3]K4N4
cntrl0_ddr2_a[4]K5N5
cntrl0_ddr2_a[5]K2N2
cntrl0_ddr2_a[6]K3N1
cntrl0_ddr2_a[7]L3N7
cntrl0_ddr2_a[8]L4N6
cntrl0_ddr2_a[9]M7P2
cntrl0_ddr2_a[10]M8P1
cntrl0_ddr2_a[11]M3W6
cntrl0_ddr2_a[12]M4W7
cntrl0_ddr2_ba[0]K6M8
cntrl0_ddr2_ba[1]J4M3
cntrl0_ddr2_cas_nL10K3
cntrl0_ddr2_ck[0]N1AD1
cntrl0_ddr2_ck[1]N5AC2
cntrl0_ddr2_ck_n[0]N2AD2
cntrl0_ddr2_ck_n[1]N4AC3
cntrl0_ddr2_ckeL7M7
cntrl0_ddr2_cs_nH2L4
cntrl0_ddr2_odtG3K5
cntrl0_ddr2_ras_nH1L3
cntrl0_ddr2_we_nL9K2
reset_in_nG8G8
cntrl0_ddr2_dq[0]U9U9
cntrl0_ddr2_dq[1]V8V8
cntrl0_ddr2_dq[2]AB1AB1
cntrl0_ddr2_dq[3]AC1AC1
cntrl0_ddr2_dq[4]Y5Y5
cntrl0_ddr2_dq[5]Y6Y6
cntrl0_ddr2_dq[6]U7U7
cntrl0_ddr2_dq[7]U8U8
cntrl0_ddr2_dq[8]AA2AA2
cntrl0_ddr2_dq[9]AA3AA3
cntrl0_ddr2_dq[10]Y1Y1
cntrl0_ddr2_dq[11]Y2Y2
cntrl0_ddr2_dq[12]T7T7
cntrl0_ddr2_dq[13]U6U6
cntrl0_ddr2_dq[14]U5U5
cntrl0_ddr2_dq[15]V5V5
cntrl0_ddr2_dq[16]R8V1
cntrl0_ddr2_dq[17]R7R7
cntrl0_ddr2_dq[18]U1R8
cntrl0_ddr2_dq[19]U2U2
cntrl0_ddr2_dq[20]P8P8
cntrl0_ddr2_dq[21]P9P9
cntrl0_ddr2_dq[22]R5R5
cntrl0_ddr2_dq[23]R6R6
cntrl0_ddr2_dq[24]P7P7
cntrl0_ddr2_dq[25]P6P6
cntrl0_ddr2_dq[26]T3T3
cntrl0_ddr2_dq[27]T4T4
cntrl0_ddr2_dq[28]N9N9
cntrl0_ddr2_dq[29]P10R2
cntrl0_ddr2_dq[30]P4P4
cntrl0_ddr2_dq[31]P3P3
cntrl0_ddr2_dqs[0]V7V7
cntrl0_ddr2_dqs[1]W3W3
cntrl0_ddr2_dqs[2]T5T5
cntrl0_ddr2_dqs[3]R3R3
cntrl0_ddr2_dqs_n[0]V6V6
cntrl0_ddr2_dqs_n[1]W4W4
cntrl0_ddr2_dqs_n[2]U4U4
cntrl0_ddr2_dqs_n[3]R4R4
cntrl0_rst_dqs_div_inT9T9
cntrl0_rst_dqs_div_outT10T10

黄色のピンがオリジナルと異なる部分です。

データ部分などはほぼぴったり同じなのですね。

気になる点

カスタム .ucf を喰わせた後、Verification Report のところでかなりの数の Warning が出ました。

                      Verification Report                          

/*******************************************************/
/*                   Controller 0                           
/*******************************************************/
Checking pins allocated to Data bits ...
Checking pins allocated to Strobe bits ... 
Checking pins allocated to Mask bits ...
Checking pins allocated to Clock bits ... 
Checking pins allocated to Address bits ...
Checking pins allocated to BankAddress bits ...
WARNING: LUT delay location constraint for dqs_delayed column of dqs[3] are missing..
WARNING: LUT delay BEL constraint constraints of dqs[3] are missing..
WARNING: Fifo write address and write enable constraints of dqs[3] are missing..
WARNING: Slice location constraints of dq[31] are missing..
WARNING: Slice location constraints of dq[30] are missing..
WARNING: Slice location constraints of dq[29] are missing..
WARNING: Slice location constraints of dq[28] are missing..
WARNING: Slice location constraints of dq[27] are missing..
WARNING: Slice location constraints of dq[26] are missing..
WARNING: Slice location constraints of dq[25] are missing..
WARNING: Slice location constraints of dq[24] are missing..
WARNING: LUT delay location constraint for dqs_delayed column of dqs[2] are missing..
WARNING: LUT delay BEL constraint constraints of dqs[2] are missing..
WARNING: Fifo write address and write enable constraints of dqs[2] are missing..
WARNING: Slice location constraints of dq[23] are missing..
WARNING: Slice location constraints of dq[22] are missing..
WARNING: Slice location constraints of dq[21] are missing..
WARNING: Slice location constraints of dq[20] are missing..
WARNING: Slice location constraints of dq[19] are missing..
WARNING: Slice location constraints of dq[18] are missing..
WARNING: Slice location constraints of dq[17] are missing..
WARNING: Slice location constraints of dq[16] are missing..
WARNING: LUT delay location constraint for dqs_delayed column of dqs[1] are missing..
WARNING: LUT delay BEL constraint constraints of dqs[1] are missing..
WARNING: Fifo write address and write enable constraints of dqs[1] are missing..
WARNING: Slice location constraints of dq[15] are missing..
WARNING: Slice location constraints of dq[14] are missing..
WARNING: Slice location constraints of dq[13] are missing..
WARNING: Slice location constraints of dq[12] are missing..
WARNING: Slice location constraints of dq[11] are missing..
WARNING: Slice location constraints of dq[10] are missing..
WARNING: Slice location constraints of dq[9] are missing..
WARNING: Slice location constraints of dq[8] are missing..
WARNING: LUT delay location constraint for dqs_delayed column of dqs[0] are missing..
WARNING: LUT delay BEL constraint constraints of dqs[0] are missing..
WARNING: Fifo write address and write enable constraints of dqs[0] are missing..
WARNING: Slice location constraints of dq[7] are missing..
WARNING: Slice location constraints of dq[6] are missing..
WARNING: Slice location constraints of dq[5] are missing..
WARNING: Slice location constraints of dq[4] are missing..
WARNING: Slice location constraints of dq[3] are missing..
WARNING: Slice location constraints of dq[2] are missing..
WARNING: Slice location constraints of dq[1] are missing..
WARNING: Slice location constraints of dq[0] are missing..
WARNING: Some of the RLOC/U_SET Constraints of the LUT delay calibration circuit are missing.
WARNING: Some of the BEL constraints of the LUT delay calibration circuit are missing.
WARNING: Slice location constraint for delayed rst_dqs_div_out signal is missing.

Verification completed. Found the following warnings.
Number of warnings in the input UCF = 47.
Verification Successful.
All signals in the UCF were allocated correctly.

与えた .ucf ファイルにはピン配置制約以外書いていないので、それを検出しているものであって、 できあがった .ucf ファイルはこれら Warning の点を修正したものであると考えていたのですが、 試しに再生成された .ucf ファイルを指定して Verify UCF and Update Design and UCF してみたところ、、、

                      Verification Report                          

/*******************************************************/
/*                   Controller 0                           
/*******************************************************/
Checking pins allocated to Data bits ...
Checking pins allocated to Strobe bits ... 
Checking pins allocated to Clock bits ... 
Checking pins allocated to Address bits ...
Checking pins allocated to BankAddress bits ...
WARNING: LUT delay location constraint "top_00/data_path0/data_read_controller0/gen_delay[3].dqs_delay_col0/five-slice_x3y79" for dqs_delayed column of dqs[3] is invalid or missing.
WARNING: LUT delay location constraint "top_00/data_path0/data_read_controller0/gen_delay[3].dqs_delay_col0/four-slice_x2y78" for dqs_delayed column of dqs[3] is invalid or missing.
WARNING: LUT delay location constraint "top_00/data_path0/data_read_controller0/gen_delay[3].dqs_delay_col0/one-slice_x2y79" for dqs_delayed column of dqs[3] is invalid or missing.
WARNING: LUT delay location constraint "top_00/data_path0/data_read_controller0/gen_delay[3].dqs_delay_col0/six-slice_x3y78" for dqs_delayed column of dqs[3] is invalid or missing.
WARNING: LUT delay location constraint "top_00/data_path0/data_read_controller0/gen_delay[3].dqs_delay_col0/three-slice_x2y78" for dqs_delayed column of dqs[3] is invalid or missing.
WARNING: LUT delay location constraint "top_00/data_path0/data_read_controller0/gen_delay[3].dqs_delay_col0/two-slice_x2y79" for dqs_delayed column of dqs[3] is invalid or missing.
...

WARNING: BEL constraint "top_00/data_path0/data_read_controller0/rst_dqs_div_delayed/three-g" for delayed rst_dqs_div_in signal is not correct.
WARNING: BEL constraint "top_00/data_path0/data_read_controller0/rst_dqs_div_delayed/two-g" for delayed rst_dqs_div_in signal is not correct.

Verification completed. Found the following warnings.
Number of warnings in the input UCF = 216.
Verification Successful.
All signals in the UCF were allocated correctly.

むしろ WARNING が大幅に増えていました。
216 とか・・・(泣

対照的に、ピン配置を指定せず、Create Design で作った .ucf ファイルをそのまま指定して Verify UCF and Update Design and UCF すると、以下のように Warning は1つも出ません。

                      Verification Report                          

Reading design libraries of xc3sd1800a-fg676... successful !

/*******************************************************/
/*                   Controller 0                           
/*******************************************************/
Checking pins allocated to Data bits ...
Checking pins allocated to Strobe bits ... 
Checking pins allocated to Clock bits ... 
Checking pins allocated to Address bits ...
Checking pins allocated to BankAddress bits ...
Verification Successful.
All signals in the UCF were allocated correctly.

うまくいってない!

ここまでやっておいて何ですが、上記手順ではうまく .ucf を再生成できていないようです。

うまく行きました

で、ものは試し、と、上記のように大量の Warning が出たのをめげずに 進んで、もう一度コアを作り直し、そうしてできた .ucf ファイルを Verify UCF and Update Design and UCF に与えたところ、

                      Verification Report                          

/*******************************************************/
/*                   Controller 0                           
/*******************************************************/
Checking pins allocated to Data bits ...
Checking pins allocated to Strobe bits ... 
Checking pins allocated to Clock bits ... 
Checking pins allocated to Address bits ...
Checking pins allocated to BankAddress bits ...
Verification Successful.
All signals in the UCF were allocated correctly.

のように、WARNING が出なくなりました。

もともと、「ピン配置だけを抜き出して書き換えた」 .ucf ファイルを作ったのが間違いで、 オリジナルの .ucf ファイルを「ピン配置部分だけを書き換えた」.ucf ファイルを与えて Verify UCF and Update Design and UCF すれば良かったのかもしれません。

とりあえず、これで先に進めます。

MAP でエラー

上記設定で作ったコアを組み込んでプロジェクトをコンパイルしたら、 MAP 段階で以下のエラーが出ました。

ERROR:PhysDesignRules:760 - Incompatible programming for IO standard. IO
   standard LVDS_25 of comp ddr2_dqs<0> does not allow both input and output
   programming on the same comp.
ERROR:PhysDesignRules:760 - Incompatible programming for IO standard. IO
   standard LVDS_25 of comp ddr2_dqs<1> does not allow both input and output
   programming on the same comp.
ERROR:PhysDesignRules:760 - Incompatible programming for IO standard. IO
   standard LVDS_25 of comp ddr2_dqs<2> does not allow both input and output
   programming on the same comp.
ERROR:PhysDesignRules:760 - Incompatible programming for IO standard. IO
   standard LVDS_25 of comp ddr2_dqs<3> does not allow both input and output
   programming on the same comp.
ERROR:Pack:1642 - Errors in physical DRC.

そもそも .ucf には

NET  "cntrl0_ddr2_dqs[*]"                       IOSTANDARD = DIFF_SSTL18_II;
NET  "cntrl0_ddr2_dqs_n[*]"                     IOSTANDARD = DIFF_SSTL18_II;

と書かれているので、なぜ上記エラーが出るのかまったく不明なのですが・・・

http://forums.xilinx.com/t5/Old-ISE-Board-no-new-thread/Error-compilation-with-MIG-DDR2-Spartan-3an/m-p/13532 によれば MIG のオプションで DQS# enable --> disable(1) の変更が必要だそうです?

仕方がないので、これを試すことにしました。

で、もう一度始めから Create Design するのは面倒だったので、 .prj ファイルのパラメータを変更して Verify UCF and Update Design and UCF してみたところ、 ちゃんと Summary 画面で

DQS# Enable : Disable(1)

となっていました。

その次の画面で

ERROR: Differential dqs[3] is disabled in MIG project file but the pin out is found in UCF
ERROR: Differential dqs[2] is disabled in MIG project file but the pin out is found in UCF
ERROR: Differential dqs[1] is disabled in MIG project file but the pin out is found in UCF
ERROR: Differential dqs[0] is disabled in MIG project file but the pin out is found in UCF

なるエラーが出たので、.ucf ファイルから

NET "cntrl0_ddr2_dqs_n[0]"

などの行をすべて削除してもう一度読み込ませたところ、

                      Verification Report                          

Reading design libraries of xc3sd1800a-fg676... successful !

/*******************************************************/
/*                   Controller 0                           
/*******************************************************/
Checking pins allocated to Data bits ...
Checking pins allocated to Strobe bits ... 
Checking pins allocated to Clock bits ... 
Checking pins allocated to Address bits ...
Checking pins allocated to BankAddress bits ...
Verification Successful.
All signals in the UCF were allocated correctly.

として、正しくコアを生成できました。

生成されたコアからは cntrl0_ddr2_dqs_n というピンが無くなっていました。

これで MAP は通るようになりました。

(追記) この問題、.ucf ファイルが正しく解釈されていなかったのが理由なのかもしれません。 下記の通り、ちゃんと .ucf ファイルを読み込めるようにしてから、もう一度 dqs# ありで 試す価値がありそうです。

次は PAR でエラー

MAP は通ったものの、次は PAR でエラーです。

Post-MAP Static Timing では All constraints were met. となっているにもかかわらず、次のエラーが出てしまいます。

ERROR:Par:228 - At least one timing constraint is impossible to meet because component delays 
  alone exceed the constraint. A timing constraint summary below shows the failing constraints 
  (preceded with an Asterisk (*)). Please use the Timing Analyzer (GUI) or TRCE (command line) 
  with the Mapped NCD and PCF files to identify which constraints and paths are failing because 
  of the component delays alone. If the failing path(s) is mapped to Xilinx components as
  expected, consider relaxing the constraint. If it is not mapped to components as expected, 
  re-evaluate your HDL and how synthesis is optimizing the path. To allow the tools to bypass 
  this error, set the environment variable XIL_TIMING_ALLOW_IMPOSSIBLE to 1. 

  For more information about the Timing Analyzer, consult the Xilinx Timing Analyzer Reference 
  manual; for more information on TRCE, consult the Xilinx Command Line Tools User Guide "TRACE" 
  chapter.

その後のメッセージから特定される箇所は、

ConstraintCheckWorst Case SlackBest Case AchievableTiming ErrorsTiming Score
* TS_clock_gen_clk_125MHz90_pre = PERIOD TIMEGRP "clock_gen_clk_125MHz90_pre" TS_clk_125MHz_in PHASE 2 ns HIGH 50%SETUP-0.926ns11.704ns42202
HOLD0.399ns00

で、これはちょうど MI コアとのインタフェース部分のクロックなのですが、 Post-MAP Static Timing では周期の 8ns に対して setup path は 3.389 と表示されており、 当然エラーにはならないはずなのです。

これでは何が悪いのか分からないので困ってしまうのですが・・・

メッセージを参考に、XIL_TIMING_ALLOW_IMPOSSIBLE という環境変数に 1 を設定して再度トライしたところ、数多く出力されるメッセージの中に

WARNING:Place:414 - The input design contains local clock signal(s). To get a better result,
we recommend users run map with the "-timing" option set before starting the placement.

というのがありました。

MIG の生成するコアは negedge clk0 に同期した信号を要求するので、 そのために local clock signals が使われているとのメッセージが出ているのだと思います。
(2010/06/03 この予想は間違いでした。下記参照)

そして、このせいで Post-MAP Static Timing で正しい見積もりが出せなかったということでしょうか。

実際 XIL_TIMING_ALLOW_IMPOSSIBLE で無理矢理通した後の、 Post-PAR Static Timing を見ても、それらしいエラーが出ていません(困

そこで、Map の Process Properties の Other Map Command Line Options に -timing を記入してトライしたところ、Phase 4.2 Initial Clock and IO Placement で ものすっごく時間が掛かるようになりました。

というか・・・CPUを100%使い切ったまま10分以上返ってこないです?!

negedge clk0 を posedge clk180 で書き直す

negedge clk0 のクロックドメインは後述するステートマシン部だけなので、 それほど大きなドメインではないのですが、local というには大きくて、 それが MAP に負担が掛かっている、ということでしょうか。

これらの信号を生成するためにもう1つクロック線を使って、 ユーザーコード側で negedge clk0 が必要な部分を、 180 度位相の異なる clk180 の posedge で動かすことにしました。

うーん、まだだめですね。Phase 4.2 から戻ってきません。-timing オプションを付けると map が終了しないのは、回避の仕方が分かりません。

-timing オプションを取ってみたところ、新たに PAR でエラーが出て、 たぶん BUFG が足りないと言うことのようです。

ERROR:Place:962 - A DCM / BUFGCTRL clock component pair have been found that are not placed at an optimal DCM / BUFGCTRL
  site pair. The DCM component <clock_gen/DCM_SP_inst> is locked to site <DCM_X1Y3> and the corresponding BUFGCTRL
  component <clock_gen/clk_125MHz90_bufg> is locked to site <BUFGMUX_X1Y1>. This will not allow the usage of the fast
  path between the DCM and the Clock buffer. If this sub optimal condition is acceptable for this design, you may use
  the CLOCK_DEDICATED_ROUTE constraint in the .ucf file to demote this message to a WARNING and allow your design to
  continue. However, the use of this override is highly discouraged as it may lead to very poor timing results. It is
  recommended that this error condition be corrected in the design. A list of all the COMP.PINs used in this clock
  placement rule is listed below. These examples can be used directly in the .ucf file to override this clock rule.
  < PIN "clock_gen/DCM_SP_inst.CLK90" CLOCK_DEDICATED_ROUTE = FALSE; >

ゴールは遠い感じです。

気になるメッセージ

PAR 時にいくつか気になるメッセージが出ていたので、 実際には後で対処するとして、忘れないようとりあえずここにメモしておきます。

INFO:Place:834 - Only a subset of IOs are locked. Out of 97 IOs, 39 are locked and 58 are not 
locked. If you would like to print the names of these IOs, please set the environment variable 
XIL_PAR_DESIGN_CHECK_VERBOSE to 1. 

メモリと接続されるピン配置が合ってません。 もしかして、MIG の生成した .ucf がまったく効いていない?

何か根本的な手順が抜けているのかもしれません・・・

ただ、MIG の生成した .ucf ファイルを指定して明示的にプロジェクトに追加しようとすると すでにプロジェクトに含まれるため追加できない旨のエラーメッセージが出るので、 入っていない訳じゃないみたいなんですが・・・

WARNING:Place:619 - This design is using a Side-BUFG site due to placement constraints on a BUFG, 
DCM, clock IOB or the loads of these components. It is recommended that Top and Bottom BUFG sites 
be used instead of Side-BUFG sites whenever possible because they can reach every clock region on 
the device. Side-BUFG sites can reach only clock regions on the same side of the device and also 
preclude the use of certain Top and Bottom BUFGs in the same clock region.

これも要チェック。

ISE 12.1 にバージョンアップしてプロジェクトを作り直した

完全に手詰まりになったので、ISE を現時点で最新版の 12.1 にバージョンアップして、 プロジェクトを作り直したところ、、、状況が改善しました?!

エラーが出るのは変わらないものの、Post-PAR Static Timing Analysis で正しそうなタイミングが表示されるようになりました。

バージョンアップとプロジェクト作り直しのどちらが効いたのかは不明です。

ピン配置その他の制約が効いて無さそうなのは変わりません。

double_ff にパラメータを持たせたら TIG が効かなくなっていた

上記のおかしな挙動は、この1つのバグから派生していたのかもしれません。

今設計中の回路には、複数のクロックドメインがあるため、 それらの間で信号を受け渡すのに、自分で作った double_ff というモジュールを多用しています。

このモジュールはとても役立ってくれていたのですが、 今回一つ不満な点が出てきてパラメータを追加する改良を行いました。

というのも、上記リンクからたどれるバージョンでは コンフィグレーション直後の出力値がゼロ固定になっているため、 初期値を 1 にしたい場合に手段がなかったのです。

そこで、宣言を

LANG:verilog
module double_ff #(INIT=1'b0) (
    input rst,
    (* IOB="FALSE", TIG="TRUE" *) input idata,
    input oclk,
    output odata
);

の形にして、INIT の値を外部から渡せるよう改良したのでした。

ところがこの改良により、なぜか idata に付けてある TIG 制約を PAR が正しく解釈しなくなってしまい、 結果として数多くのタイミング違反が出力されていました。

map はこの TIG を正しく解釈していたようなので、 正しく解釈できない par との間で齟齬が生じて 予測不可能な動作をしていたのではないかと思います。

これって、ツールのバグ・・・なんですかね。 もし本当なら、かなり影響が大きそうなのですが。 というのも、たぶん TIG だけじゃないですよね、無視されるのは。

後で再現可能なら検証用ソースファイルを上げようと思います。

さしあたり、初期値が 1 の double_ff1 を別に作って凌ぐことにしました。

理由が MIG とは関係ないところにありそうなのが分かったので、 この件については後でページを移すと思います。

で、MI コアのピン配置などの制約が効いていない問題はまだ残っています。

par/readme.txt を読め

MIG で生成されるコードは CoreGenerator で作られる他のコアと違って、 プロジェクトの一部で使うためのコアを生成するのではなく、 MIG で作ったプロジェクトファイルに自分のモジュールを追加していく 形で使うことが想定されているんですね。 今更ながら readme.txt を読んでびっくりしました。

と思っておそるおそる覗いてみると、やっぱり FPGA の部屋 にはちゃんと書かれていて、 先に FPGA の部屋 で勉強しておくのだった、と後悔先に立たず、です。
http://marsee101.blog19.fc2.com/blog-entry-1451.html

すでに自分のコードが大きくなっているので、なんとかそちらに合わせるため、

  • MIG で作った ddr2mi.xco をプロジェクトから remove
  • ipcore_dir/ddr2mi/user_design をプロジェクトディレクトリ直下にコピーして ddr2mi という名前に変更
  • ddr2mi/par の ddr2mi.ucf を ddr2mi/ddr2mi.ucf にコピーして、
    • reset_in_n の行をコメントアウト
    • cntrl0_ をすべて削除
    • "top_00/ を "ddr2_sdram/ddr2mi/top_00/ に置き換え
    • "infrastructure_top0/ を "ddr2_sdram/ddr2mi/infrastructure_top0/ に置き換え
    • "clk_int" を "ddr2_sdram/ddr2mi/clk_int" に置き換え
    • "clk90_int" を "ddr2_sdram/ddr2mi/clk90_int" に置き換え

のように編集しました。

そして、ddr2mi/rtl/ddr2mi_parameter_0.v を除く ddr2mi/rtl/*.v ファイルと、 ddr2mi/ddr2mi.ucf ファイルをプロジェクトに追加したところ、.ucf について たくさんエラーが出てしまいました。

NET で指定している制約、例えば

NET "ddr2_sdram/ddr2mi/clk_int" TNM_NET = "clk0";

は、実際の信号名を使って

NET "clock_gen/clk_125MHz_pre" TNM_NET = "clk0";

などと書かなければならないため、かなりいろいろ手直しをする羽目になりました。

とりあえず、腕力でコンパイル可能なところまで行きつきましたが、 もっと良い方法がなかったのか思案中です。

(追記) 後から、NET ではなく PIN で制約を掛ければ良いのかもしれないと 気づきました。が、まだ試してません。

MIG が生成したコアを使って Spartan 3A DSP から DDR2 メモリにアクセスする

まだ準備段階です。

Spartan 3A DSP 1800 Starter Platform には Micron の 16bit 幅で 512Mbit の DDR2 SDRAM である MT47H32M16BM-3 が 2つ載っていて、合計で 32bit 幅 1024Mbit の構成になっています。

DDR2 メモリには最低動作周波数が規定されていて、このチップでは 125MHz となっているため、 Spartan 3 ではちょっと厳しめですが、この周波数でデータの受け渡しをしなければなりません。

また、MIG のインターフェースは元クロックと 90度 および 180度 位相の異なるクロックを利用してデータの受け渡しをするように設計されていて、 そのあたりもちょっとハードルが高いです。

とはいえ、考え方が分かってしまえば、高速回路設計の勉強にもなっていい感じです。

(まだ実機で動かせるところまで進んでいませんが)

メモリの構成

合計 1024Mbit で 32bit 幅なので、アドレス空間は 0x0000000 - 0x1ffffff の 25ビット幅になります。

ddr2mi_parameter_0.v を見ると

LANG:verilog
`define   ROW_ADDRESS                              13
`define   COLUMN_ADDRESS                           10
`define   BANK_ADDRESS                             2

となっていて、合計値は正しく 25 ビットになっていました。

モードレジスタの値

ddr2mi_parameter_0.v によれば、

LOAD_MODE_REGISTER                       13'b0010100110010

となっていますので、これをメモリのデータシートと突き合わせると、

mode_reg.png

項目意味
Mode Register Definition00Mode register (MR)
PD Mode0Fast exit
Write Recovery0103
DLL Reset1Yes
Test Mode0Normal Mode
CAS#0
CAS Latency (CL)113
Burst Type0Sequential
Burst Length0104

という設定であることが分かります。

初期化

MI からは

  • cntrl0_sys_rst_tb
  • cntrl0_sys_rst90_tb
  • cntrl0_sys_rst180_tb

の3つのリセット信号が出力されます。

SDRAM の初期化時間を 200us 取らなければならないため、 システムリセット後 200us 以上にわたりこれらの信号は 1 の値を取ります。

これらすべてが 0 に下りたのを確認した後、 negedge clk0 に同期して mi_command に Initialize memory (3'b010) を1クロックだけ送り、 init_done が上がるのを待てば初期化が終了します。

シミュレーション時には MI のコンパイル時に simulation という定数を `define しておけばこの 200us (実際には 280us 程度)を待たずに済みます。

ISE から ModelSim を使ってシミュレーションするのであれば、 "Simulate Behavioral Model" などの上で右クリックして、 [Process Properties...] から [Other VLOG Command Line Options] に "+define+simulation" ("" は除く) を記入しておくのが手軽です。

読み書き

32bit のメモリにアクセスする場合、MI とは 64bit のデータをやりとりします。

書き込みでは、上位 32bit が先に、下位 32bit が後に、デバイスに送られます。

読み込みでは、先に受信した方を上位に、後に受信した方を下位に詰めて渡されます。

アドレスは clk0 の negedge に同期して、
データは clk90 の posedge に同期して、
やりとりされることになっています。

この取り決めに始め戸惑いましたが、よく見てみると難しいことはないようです。

  • ステートマシンは negedge clk0 同期で作成する
    • read も write も、アドレスや burst done の出力タイミングは同じでよい
  • データを扱う posedge clk90 ドメインは
    • read では data_valid だけ見てデータを取り込めばよい
    • write では cmd_ack が立った次のクロックからデータを書き出せばよい

という単純な話でした。

write の際に、 negedge clk0 で遷移する信号を
posedge clk90 ドメインで読み取る必要が生じるのですが、
fifo をうまく使えばそのままで十分にセットアップ時間が取れるため、 普通に書いてしまって大丈夫でした。

ツールが位相違いのクロックをちゃんと認識してセットアップ・ホールド 時間解析をしてくれるのでこういう使い方ができるのですね。

サブクロックの最適化が必要になるような高速回路の設計について、 その片鱗が見えた気がしました。

以上、まだシミュレーション段階でうまく行きそう、という段階なので、 これからが正念場です(汗

現在、テスト用のデータを流し込み、読み出して突き合わせるメモリテスト用の プログラムをPC側で書いているところです。

メモ

  • Invalid property "SYN_USEIOFF 1" というワーニングは XST が Synplify 用の制約である SYN_USEIOFF を認識できないために出るものなので、無視して良さそうです。
  • データマスクを使わない設定の時、自分でちゃんと正常な値を出力してやらないと動作が不安定になる? (どうもうまく行かない原因を探しているところです)

コメント




DDRx SDRAM

[アプロ] (2010-06-09 (水) 07:51:24)

がんばってください \(^o^)/ <= エールです(笑)
IPを使っているので、あまりハマらないと思いますが、実機ではタイミング(SDRAMのスペック的な、または、コマンドシーケンス)関係でトラブルので、注意してください。
・初期化がうまくできない(各信号のタイミングがあっていない等)
・ライトできるのに、リードするとデータ化け(FPGA側の受信側のタイミング等)
・データをライト/リードしていると、時々データ化け(バンク管理ミス)

ERROR:Place:962

[marsee] (2010-06-02 (水) 19:48:00)

たくさんコメント書いてスミマセン。
ERROR:Place:962 - A DCM / BUFGCTRL clock component pairは、DCMとBUFGをロックしているんですよね?ロックしている位置が悪くて専用のFASTパスを使えないと言っていると思います。一度、配置制約を外してみてはいかがでしょうか?
ISE12.1で解消しましたか?

  • いえいえ、とてもありがたいです。DCM と BUFG には配置制約を加えてませんでした。ですので不思議だ!ということになっていました。 -- [武内(管理人)]

ERROR:Par:228

[marsee] (2010-06-02 (水) 19:40:08)

ERROR:Par:228 - At least one timing constraint is impossible to meetは、たぶんロジックだけでタイミング制約の遅延値を超えているというエラーだと思います。PARでは、ロジックの遅延値に配線の遅延値を加えて最終的な遅延値を算出できます。その時に、ロジックだけで遅延値を超えているとエラーとなると思います。この場合は、できれば、IL_TIMING_ALLOW_IMPOSSIBLE という環境変数に 1 を設定せずに、clock_gen_clk_125MHz90_preが11.704ns以上のクロック周期なるようにピリオド制約を変更してはいかがでしょうか?

  • はい、制約の意味はそうなのですが、問題は MAP の出力ではエラーになっていないことでした。そうか、確かにクロック制約を緩和するのは手軽でしたね。次からはそれを試してみます。 -- [武内(管理人)]
  • あ、でもクロック制約を緩和してしまうと別の部分を含めて全体のルーティングが大きく変わる可能性が高いので、エラーの原因を探るという意味では使い方に気をつける必要がありそうです? -- [武内(管理人)]
  • クロック制約を緩和してから、PlanAheadでインプリメントの具合を見て、HDLを修正します。修正できない場合は、ロジックの配置を変更しながらインプリメントを繰り返しています。もぐらたたきになることが多いです。できればHDLでクリティカルパスを修正することが望ましいです。 -- [marsee]
  • コメントを修正します。HDLでクリティカルパスが解消できないと、正常な制約がかけられないですね。HDLを修正するしかないです。Timing AnalyzerとクロスプローブできるFPGA Editorなどを使用して、(PlanAheadも、)原因を解明してから、制約をもとに戻します。 -- [marsee]
  • 通常であれば ERROR:Par:228 への対応は、Place & Route でエラーが出る で書いたように、MAP 時のタイミングを見れば良いのだと思います。今回の場合、MAP レポートでロジック遅延に問題がないという結果だったにもかかわらずエラーになったので当惑したという話でした。分かりづらくて済みません。 -- [武内(管理人)]
  • その通りですね。了解しました。しかし、タイミングの制約を満たすのが厳しそうでうす。メーカーでDDR2 SDRAMコントローラのサンプルプロジェクトは付いていなかったのでしょうか?それがあれば、ずいぶん助けになるような気がします。 -- [marsee]
  • あ、いえ、上記 double_ff の修正を加えたところ、他のモジュールも含めてタイミング制約は始めから満たせていました。一応、シミュレーション上はこれで動きそうな様子です。今はそういうところではなく arbiter まわりのコードにばかげたバグがあるようで、そちらの特定に苦労しています。 -- [武内(管理人)]
  • とはいえ、ビヘイビャレベルのシミュレーションでは正しく動きそうに見えているので、もしかしたら何か制約が足りていないのかもしれません(汗 -- [武内(管理人)]

無題

[marsee] (2010-06-02 (水) 05:01:56)

頑張っていらしゃる様子が手にとるようにわかります。結構、色々なことで失敗したりすると思いますが、頑張ってください。
私もSpartan-3A Stater KitのDDR2 SDRAMコントローラをMIGで生成してみまhした。Project NavigatorからではMIGでDDR2 SDRAMコントローラは生成できませんでしたが、CoreGenから生成したらうまくいきました。
やはり、MIGにSpartan-3A Stater Kitを選択する項目があるだけあって、動いているようです。(当たり前か?)
http://marsee101.blog19.fc2.com/blog-entry-1483.html
やはり、UCFをみると相対位置制約なので、ガチガチでした。このインスタンス名を直したくないと強く思いました。

  • 書き込みありがとうございます。現在 MIG とは関係のないアービタ周りで苦戦していて読み書きテストまで進んでいません。まだ始めたばかりなのでほぼすべてのモジュールが枯れておらず、あちらを直してはこちらが動かなくなる、というようないたちごっこを続けています。何とか2〜3日中には動かしたいものです。あ、今2ワード64ビットだけ読めました(笑 -- [武内(管理人)]

Counter: 55114 (from 2010/06/03), today: 1, yesterday: 0